[memcached] bradfitz, r358: remove warning in assertion

commits at code.sixapart.com commits at code.sixapart.com
Mon Sep 4 23:52:09 UTC 2006


remove warning in assertion



U   trunk/server/items.c


Modified: trunk/server/items.c
===================================================================
--- trunk/server/items.c	2006-09-04 21:16:19 UTC (rev 357)
+++ trunk/server/items.c	2006-09-04 23:52:08 UTC (rev 358)
@@ -140,7 +140,7 @@
 
 void item_link_q(item *it) { /* item is the new head */
     item **head, **tail;
-    assert(it->slabs_clsid <= LARGEST_ID);
+    /* always true, warns: assert(it->slabs_clsid <= LARGEST_ID); */
     assert((it->it_flags & ITEM_SLABBED) == 0);
 
     head = &heads[it->slabs_clsid];
@@ -158,7 +158,7 @@
 
 void item_unlink_q(item *it) {
     item **head, **tail;
-    assert(it->slabs_clsid <= LARGEST_ID);
+    /* always true, warns: assert(it->slabs_clsid <= LARGEST_ID); */
     head = &heads[it->slabs_clsid];
     tail = &tails[it->slabs_clsid];
 




More information about the memcached-commits mailing list